DARKSIDE: A Heterogeneous RISC-V Compute Cluster for Extreme-Edge On-Chip DNN Inference and Training

AI-generated keywords: Heterogeneous Clusters Deep Learning TinyML DARKSIDE Accelerators

AI-generated Key Points

  • Machine Learning (ML) and Deep Learning (DL) models are being deployed at the extreme edge of the Internet-of-Things (IoT), known as Tiny Machine Learning (TinyML).
  • Heterogeneous clusters have emerged as promising solutions to meet the strict latency, throughput, accuracy, and flexibility requirements for on-chip DNN inference and training at this level.
  • DARKSIDE is presented as a System-on-Chip with a heterogeneous cluster consisting of 8 RISC-V cores enhanced with 2-b to 32-b mixed-precision integer arithmetic.
  • Three digital accelerators are added to the cluster: a specialized engine for low-data-reuse depthwise convolution kernels capable of up to 30 MAC/cycle; a minimal overhead datamover that marshals 1-b to 32-b data on-the-fly; and a 16-b floating-point Tensor Product Engine (TPE) for tiled matrix multiplication acceleration.
  • DARKSIDE achieves peak integer performance of 65 GOPS and peak efficiency of 835 GOPS/W when working on 2-b integer DNN kernels.
  • The TPE provides up to 18.2 GFLOPS of performance or 300 GFLOPS/W of efficiency when targeting floating point tensor operations.
  • The authors argue that using heterogeneous clusters like DARKSIDE that offer both flexibility and performance boosts through dedicated accelerators is better than catch instructions in certain scenarios.
  • Overall, DARKSIDE presents a promising solution for on chip DNN inference and training at the extreme edge of TinyML, with its heterogeneous cluster offering both flexibility and performance boosts through dedicated accelerators.
Also access our AI generated: Comprehensive summary, Lay summary, Blog-like article; or ask questions about this paper to our AI assistant.

Authors: Angelo Garofalo, Yvan Tortorella, Matteo Perotti, Luca Valente, Alessandro Nadalini, Luca Benini, Davide Rossi, Francesco Conti

11 pages, 15 figures
License: CC BY 4.0

Abstract: On-chip DNN inference and training at the Extreme-Edge (TinyML) impose strict latency, throughput, accuracy and flexibility requirements. Heterogeneous clusters are promising solutions to meet the challenge, combining the flexibility of DSP-enhanced cores with the performance and energy boost of dedicated accelerators. We present DARKSIDE, a System-on-Chip with a heterogeneous cluster of 8 RISC-V cores enhanced with 2-b to 32-b mixed-precision integer arithmetic. To boost performance and efficiency on key compute-intensive Deep Neural Network (DNN) kernels, the cluster is enriched with three digital accelerators: a specialized engine for low-data-reuse depthwise convolution kernels (up to 30 MAC/cycle); a minimal overhead datamover to marshal 1-b to 32-b data on-the-fly; a 16-b floating point Tensor Product Engine (TPE) for tiled matrix-multiplication acceleration. DARKSIDE is implemented in 65nm CMOS technology. The cluster achieves a peak integer performance of 65 GOPS and a peak efficiency of 835 GOPS/W when working on 2-b integer DNN kernels. When targeting floating-point tensor operations, the TPE provides up to 18.2 GFLOPS of performance or 300 GFLOPS/W of efficiency - enough to enable on-chip floating-point training at competitive speed coupled with ultra-low power quantized inference.

Submitted to arXiv on 31 Mar. 2023

Ask questions about this paper to our AI assistant

You can also chat with multiple papers at once here.

AI assistant instructions?

Results of the summarizing process for the arXiv paper: 2303.17954v1

The field of Machine Learning (ML) and Deep Learning (DL) has seen a recent surge in the deployment of models at the extreme edge of the Internet-of-Things (IoT), commonly referred to as Tiny Machine Learning (TinyML). To meet the strict latency, throughput, accuracy, and flexibility requirements for on-chip DNN inference and training at this level, heterogeneous clusters have emerged as promising solutions. These clusters combine the flexibility of DSP-enhanced cores with the performance and energy boost of dedicated accelerators. In this context, DARKSIDE is presented as a System-on-Chip with a heterogeneous cluster consisting of 8 RISC-V cores enhanced with 2-b to 32-b mixed-precision integer arithmetic. To enhance performance and efficiency on key compute-intensive DNN kernels, three digital accelerators are added to the cluster: a specialized engine for low-data-reuse depthwise convolution kernels capable of up to 30 MAC/cycle; a minimal overhead datamover that marshals 1-b to 32-b data on-the-fly; and a 16-b floating-point Tensor Product Engine (TPE) for tiled matrix multiplication acceleration. DARKSIDE is implemented using 65nm CMOS technology and achieves peak integer performance of 65 GOPS and peak efficiency of 835 GOPS/W when working on 2-b integer DNN kernels. When targeting floating point tensor operations, the TPE provides up to 18.2 GFLOPS of performance or 300 GFLOPS/W of efficiency - enough to enable on chip floating point training at competitive speed coupled with ultra low power quantized inference. The authors argue that while catch instructions can improve energy efficiency by reducing memory accesses in certain scenarios, they may not be sufficient for all use cases. Instead they propose using heterogeneous clusters like DARKSIDE that offer both flexibility and performance boosts through dedicated accelerators. Overall, DARKSIDE presents a promising solution for on chip DNN inference and training at the extreme edge of TinyML, with its heterogeneous cluster offering both flexibility and performance boosts through dedicated accelerators. Its implementation in 65nm CMOS technology demonstrates impressive peak integer performance and efficiency when working on 2 b integer DNN kernels while its TPE provides sufficient performance and efficiency to enable on chip floating point training coupled with ultra low power quantized inference.
Created on 19 Apr. 2023

Assess the quality of the AI-generated content by voting

Score: 0

Why do we need votes?

Votes are used to determine whether we need to re-run our summarizing tools. If the count reaches -10, our tools can be restarted.

The previous summary was created more than a year ago and can be re-run (if necessary) by clicking on the Run button below.

Similar papers summarized with our AI tools

Navigate through even more similar papers through a

tree representation

Look for similar papers (in beta version)

By clicking on the button above, our algorithm will scan all papers in our database to find the closest based on the contents of the full papers and not just on metadata. Please note that it only works for papers that we have generated summaries for and you can rerun it from time to time to get a more accurate result while our database grows.

Disclaimer: The AI-based summarization tool and virtual assistant provided on this website may not always provide accurate and complete summaries or responses. We encourage you to carefully review and evaluate the generated content to ensure its quality and relevance to your needs.